Skip to main content

Hybrid Metrology

The metrology activity of the lithography team results from the development of electromagnetic models for the emerging dimensional metrology technique: scatterometry. This is an indirect metrology based on an optical signature that must be reconstructed using parametric models.

Over the last few years the objective of the metrology team has been

(i) to develop algorithms making it possible to improve, in terms of speed and precision, the resolution of the inverse problem inherent to the scatterometry technique,

( ii) create software solutions for the generation and resolution of the model as well as the post-processing of scatterometric data and

(iii) develop a hybrid metrology combining several techniques to improve the robustness of the final result.
Concerning the development of algorithms, among the methodologies retained, we can cite neural networks (thesis I. ElKalyoubi) or kriging (N. Troscompt, IMROVE project).

Regarding software solutions, an S.Genius platform has been created. This platform aims to be at the same time a graphical user interface allowing the modeling of geometric structures, an electromagnetic solver based on the MMFE method (Modal Method by Fourier Expansion), an inverse problem solver (based on the methodologies cited above in particular ) and a statistical post-processing tool in order to quantify the results obtained in terms of sensitivity, precision, etc. This platform was validated and used as part of the work of the WayToGoFast project and in the thesis work of D. Carau ( CIFRE STMicroelectronics).


This metrology activity remains very close to issues related to microelectronics.

We have developed long-term collaborations with the company STMicroelectronics, particularly on dimensional metrology issues:

(i) in the field of double patterning lithography (thesis D. Carau), showing the difficulty and proposing solutions to measure both the CD and the overlay created during this double lithography step. [D. Carau, Advanced Lithography SPIE, 2015] and

(ii) in controlling the variability of manufacturing processes using new metrology approaches. [Lakcher Advanced Lithography 2017]

Process variability (dose variation) in microlens manufacturing
Figure illustrating process variability (dose variation) in microlens manufacturing. On the left, superposition of 20 SEM contours measured after annealing. On the right, measurement of slip after annealing

Concerning hybrid metrology, a solid collaboration is also in place with LETI for the development of this approach. J. Reche, as part of a thesis funded by the MINOS labex, developed the hybrid metrology approach for dimensional measurement by also considering the roughness of sides on patterns with nanometric dimensions. This work consists of combining data from CDSEM and scatterometry while also integrating SAXS (Small Angle X-Ray Scattering) data [J. Research JM3 2018]. SAXS has demonstrated the ability to provide results containing roughness information. An approach based on PSD (Power Spectrum Density) is chosen as a common parametric representation. Neural networks are discussed to fuse data.

Submitted on March 13, 2024

Updated on March 14, 2024